MEMS: 두 판 사이의 차이

위키백과, 우리 모두의 백과사전.
내용 삭제됨 내용 추가됨
Addbot (토론 | 기여)
잔글 봇: 인터위키 링크 25 개가 위키데이터d:q175561 항목으로 옮겨짐
Jiho9606 (토론 | 기여)
편집 요약 없음
1번째 줄: 1번째 줄:
{{Redirect|MEMS}}
<!--[[그림:Nanogearandbug.jpg|thumb|250px|right|MEMS 기술로 만든 기어 옆에 [[진드기]]가 있다. 자료제공 Sandia National Laboratories, SUMMiTTM Technologies, www.mems.sandia.gov]]-->
[[File:MEMsfounding.jpg|thumb|Proposal submitted to [[DARPA]] in 1986 first introducing the term "microelectromechanical systems"]]
'''MEMS'''(Microelectromechanical Systems의 약어)는 미세 기술로서 기계 부품, [[센서]], [[액츄에이터]], [[전자 회로]]를 하나의 [[규소|실리콘]] 기판 위에 집적화 한 장치를 가리킨다. 주로 [[반도체]] [[집적회로]] 제작 기술을 이용해 제작되지만 반도체 집적회로에서 평면을 가공하는 프로세스로 제작할 때 입체 형상을 만들어야 하므로 반도체 집적회로의 제작에는 쓰이지 않는다. [[에칭]]이라 불리는 제작 프로세스가 포함된다.


'''미세전자기계시스템''' ('''Microelectromechanical systems''') (기술 방식은 ''micro-electro-mechanical'', ''MicroElectroMechanical'' 또는 ''microelectronic and microelectromechanical systems'' 그리고 ''micromechatronics''와 연관된 것 등이 있다)은 매우 작은 기계를 다루는 기술이다;[[나노전자기계시스템]] (NEMS,nanoelectromechanical systems)과 [[나노기술]](nanotechnology)에 나노크기로 병합한 것이다. 또한 일본에서는 [[Micromachinery|micromachines]], 유럽에서는 ''micro systems technology'' – ''MST''라고 일컫는다.
현재 제품으로서 시판되고 있는 것으로서는 [[잉크젯]] [[프린터]]의 헤드, [[압력 센서]], [[가속도 센서]], [[자이로스코프]], [[투영기|프로젝터]] 등 이 있다. 응용 분야가 다방면에 걸쳐 있기 때문에 시장 규모가 확대되고 있다. 이 때문에 제2의 [[DRAM]]이라고도 말하고 있다.


MEMS는 분자 나노 기술([[molecular nanotechnology]]) 또는 분자 전자 공학([[molecular electronics]])의 비전에서부터 분리되었다. MEMS는 크기가 1에서 100마이크로미터인 요소들로 구성되어있고(i.e. 0.001 to 0.1&nbsp;mm), 일반적인 사이즈는 20마이크로미터부터 1밀리미터까지이다(i.e. 0.02 to 1.0&nbsp;mm). 보통 데이터를 처리하는 중심 단위(마이크로프로세서)와 마이크로센서<ref>{{cite book |last = Waldner |first = Jean-Baptiste| author-link = Jean-Baptiste Waldner | title = Nanocomputers and Swarm Intelligence |publisher = [[ISTE Ltd|ISTE]] [[John Wiley & Sons]] |place = London |year = 2008 |page = 205 |isbn = 1-84821-009-4}}</ref>와 같은 주변과 상호작용을 하는 몇 개의 부분으로 구성되어있어, 고전물리학적 기준에서는 유용하지는 않다. 부피에 비해 상대적으로 큰 표면적 때문에, 정전기와 웨팅([[wetting]])과 같은 표면 효가가 관성이나 열적질량(thermal mass)같은 부피로 인한 효과를 덮어버린다.
{{토막글|기술}}


극소크기의 기계들의 잠재가능성은 이것이 기술로 현실화되기 전에 그 가치를 인정받았다. 예를 들면, [[리처드 파인만]]의 유명한 1959년의 강의 '''바닥에는 공간이 많다'''(There's Plenty of Room at the Bottom)를 보면 알 수 있다. MEMS는 보통 전자장치를 만드는데 사용되는 반도체 제조기술에서의 수정을 통해 제작되면서 실용화에 들어갔다. 이는 몰딩(molding)과 플래팅(plating)을 비롯해 [[Etching (microfabrication)|wet etching]] ([[Potassium hydroxide|KOH]], [[Tetramethylammonium hydroxide|TMAH]]) and [[dry etching]] ([[Reactive-ion etching|RIE]] and DRIE), [[electrical discharge machining|electro discharge machining]] (EDM) 등의 소형 기계를 제작가능하게하는 기술들을 포함하고 있었다.초기 MEMS 기기의 예시는 An early example of a MEMS device is the resonistor – an electromechanical monolithic resonator.<ref>Electromechanical monolithic resonator, [http://www.google.com/patents/about?id=hpcBAAAAEBAJ&dq=ELECTROMECHANICAL+MONOLITHIC+RESONATOR US patent 3614677], Filed April 29, 1966; Issued October 1971</ref><ref>{{cite journal |first1=R.J. |last1=Wilfinger |first2=P.H. |last2=Bardell |first3=D.S. |last3=Chhabra |title=The resonistor a frequency selective device utilizing the mechanical resonance of a substrate |journal=IBM J. |volume=12 |issue= |pages=113–8 |year=1968 |format=PDF |url=http://www.research.ibm.com/journal/rd/121/ibmrd1201S.pdf}}</ref>
[[분류:전자공학]]

[[분류:항공전자]]
==Materials for MEMS manufacturing==

The fabrication of MEMS evolved from the process technology in [[semiconductor device fabrication]], i.e. the basic techniques are [[Deposition (chemistry)|deposition]] of material layers, patterning by [[photolithography]] and etching to produce the required shapes.<ref>{{cite book | author = R. Ghodssi, P. Lin | title = MEMS Materials and Processes Handbook | publisher = [[Springer Science+Business Media|Springer]] | place = Berlin | year = 2011 | isbn = 978-0-387-47316-1}}</ref>

===Silicon===
[[Silicon]] is the material used to create most [[integrated circuit]]s used in consumer electronics in the modern industry. The [[economies of scale]], ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications.
Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect [[Hooke's law|Hookean]] material, meaning that when it is flexed there is virtually no [[hysteresis]] and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little [[Fatigue (material)|fatigue]] and can have service lifetimes in the range of [[1000000000 (number)|billions]] to [[1000000000000 (number)|trillions]] of cycles without breaking.

===Polymers===
Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to be produced. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as [[injection molding]], [[Embossing (manufacturing)|embossing]] or [[stereolithography]] and are especially well suited to [[microfluidic]] applications such as disposable blood testing cartridges.

===Metals===
Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include [[gold]], [[nickel]], [[aluminium]], [[copper]], [[chromium]], [[titanium]], [[tungsten]], [[platinum]], and [[silver]].

===Ceramics===
The [[nitride]]s of silicon, aluminium and titanium as well as [[silicon carbide]] and other [[ceramic]]s are increasingly applied in MEMS fabrication due to advantageous combinations of material properties. [[aluminium nitride|AlN]] crystallizes in the [[wurtzite structure]] and thus shows [[pyroelectricity|pyroelectric]] and [[piezoelectricity|piezoelectric]] properties enabling sensors, for instance, with sensitivity to normal and shear forces.<ref name= PC2009>{{cite journal | author = T. Polster, M. Hoffmann | title = Aluminium nitride based 3D, piezoelectric, tactile sensors | journal = Proc. Chem. | volume = 1 | pages = 144–7 | year = 2009 | doi = 10.1016/j.proche.2009.07.036 }}</ref> [[titanium nitride|TiN]], on the other hand, exhibits a high [[electrical conductivity]] and large [[elastic modulus]] allowing to realize electrostatic MEMS actuation schemes with ultrathin membranes.<ref name= AdFM2011>{{cite journal | author = M. Birkholz, K.-E. Ehwald, P. Kulse, J. Drews, M. Fröhlich, U. Haak, M. Kaynak, E. Matthus, K. Schulz, D. Wolansky | title = Ultrathin TiN Membranes as a Technology Platform for CMOS-Integrated MEMS and BioMEMS Devices | journal = Adv. Func. Mat. | volume = 21 | pages = 1652–6 | year = 2011 | doi = 10.1002/adfm.201002062 | url=http://onlinelibrary.wiley.com/doi/10.1002/adfm.201002062/pdf }}</ref> Moreover, the high resistance of TiN against biocorrosion qualifies the material for applications in biogenic environments and in [[biosensor]]s.

==MEMS basic processes==

===Deposition processes===
One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere between a few nanometres to about 100 micrometres. There are two types of deposition processes, as follows.

====Physical deposition====
Physical vapor deposition ("PVD") consists of a process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of [[sputtering]], in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate, and [[evaporation| Evaporation (deposition)]], in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in a vacuum system.

====Chemical deposition====
Chemical deposition techniques include chemical vapor deposition ("CVD"), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example, [[LPCVD]] (Low Pressure chemical vapor deposition) and [[PECVD]] (Plasma Enhanced chemical vapor deposition).

Oxide films can also be grown by the technique of [[thermal oxidation]], in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of [[silicon dioxide]].

===Patterning===
Patterning in MEMS is the transfer of a pattern into a material.

====Lithography====
Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs.

This exposed region can then be removed or treated providing a mask for the underlying substrate. [[Photolithography]] is typically used with metal or other thin film deposition, wet and dry etching.

=== Photolithography ===
Photolithography is the process of transferring geometric shapes on a mask to the surface of a silicon wafer. The steps involved in the photolithographic process are wafer cleaning; barrier layer formation; photoresist application; soft baking; mask alignment; exposure and development; and hard-baking.

Wafer Cleaning, Barrier Formation and Photoresist Application

In the first step, the wafers are chemically cleaned to remove particulate matter on the surface as well as any traces of organic, ionic, and metallic impurities. After cleaning, silicon dioxide, which serves as a barrier layer, is deposited on the surface of the wafer. After the formation of the SiO2 layer, photoresist is applied to the surface of the wafer. High-speed centrifugal whirling of silicon wafers is the standard method for applying photoresist coatings in IC manufacturing. This technique, known as "Spin Coating," produces a thin uniform layer of photoresist on the wafer surface. Another method for applying photoresist coatings onto MEMs wafers with high aspect ratio trenches uses an ultrasonic nozzle to spray micron-sized atomized droplets of photoresist directly onto the wafer surface.<ref>{{cite journal | title=Journal of Micromechanics and Microengineering | volume= 15 |year= 2005 | pages=691-697}}</ref>

Positive and Negative Photoresist:-

There are two types of photoresist: positive and negative. For positive resists, the resist is exposed with UV light wherever the underlying material is to be removed. In these resists, exposure to the UV light changes the chemical structure of the resist so that it becomes more soluble in the developer. The exposed resist is then washed away by the developer solution, leaving windows of the bare underlying material. In other words, "whatever shows, goes." The mask, therefore, contains an exact copy of the pattern which is to remain on the wafer.

Negative resists behave in just the opposite manner. Exposure to the UV light causes the negative resist to become polymerized, and more difficult to dissolve. Therefore, the negative resist remains on the surface wherever it is exposed, and the developer solution removes only the unexposed portions. Masks used for negative photoresists, therefore, contain the inverse (or photographic "negative") of the pattern to be transferred. The figure below shows the pattern differences generated from the use of positive and negative resist.

====Electron beam lithography====

{{Main|Electron beam lithography}}
Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of [[electron]]s in a patterned fashion across a surface covered with a film (called the [[resist]]),<ref name="mccord">{{cite book |last= McCord |first=M. A. |coauthors=M. J. Rooks |title=SPIE Handbook of Microlithography, Micromachining and Microfabrication|year=2000 |chapter=2|url=http://www.cnf.cornell.edu/cnf_spietoc.html}}</ref> ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with [[photolithography]], is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing [[integrated circuit]]s, and is also used for creating [[nanotechnology]] architectures.

The primary advantage of electron beam lithography is that it is one of the ways to beat the [[diffraction limit]] of light and make features in the [[nanometer]] regime. This form of [[maskless lithography]] has found wide usage in [[photomask]]-making used in [[photolithography]], low-volume production of semiconductor components, and research & development.

The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.

====[[Ion beam lithography]]====

It is known that focused-ion-beam lithography has the capability of writing
extremely fine lines (less than 50&nbsp;nm line and space has been achieved) without proximity
effect. However, because the writing field in ion-beam lithography is quite small, large area patterns must be created by stitching together the small fields.

====[[Ion track technology]]====

Ion track technology is a deep cutting tool with a resolution limit around 8&nbsp;nm applicable to radiation resistant minerals, glasses and polymers. It is capable to generate holes in thin films without any development process. Structural depth can be defined either by ion range or by material thickness. Aspect ratios up to several 10<sup>4</sup> can be reached. The technique can shape and texture materials at a defined inclination angle. Random pattern, single-ion track structures and aimed pattern consisting of individual single tracks can be generated.

====[[X-ray lithography]]====

X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

====Diamond patterning====
{{Empty section|date=January 2011}}

===Etching processes===
There are two basic categories of etching processes: [[Etching (microfabrication)|wet etching]] and [[dry etching]].
In the former, the material is dissolved when immersed in a chemical solution.
In the latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant.<ref>{{cite journal|doi=10.1109/84.546406|title=Etch rates for micromachining processing|year=1996|last1=Williams|first1=K.R.|last2=Muller|first2=R.S.|journal=Journal of Microelectromechanical Systems|volume=5|pages=256|issue=4}}</ref><ref name="bulk">{{cite journal|doi=10.1109/5.704259|title=Bulk micromachining of silicon|year=1998|last1=Kovacs|first1=G.T.A.|last2=Maluf|first2=N.I.|last3=Petersen|first3=K.E.|author-link3=Kurt E. Petersen|journal=Proceedings of the IEEE|volume=86|pages=1536|issue=8}}</ref> for a somewhat dated overview of MEMS etching technologies.

====Wet etching====
{{main|Etching (microfabrication)}}

Wet chemical etching consists in selective removal of material by dipping a substrate into a solution that dissolves it. The chemical nature of this etching process provides a good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully.

=====Isotropic etching=====
Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate.

=====Anisotropic etching=====
Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes ([[crystallography|crystallographic orientations]]). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7° walls, instead of a hole with curved sidewalls as with isotropic etching.

=====HF etching=====
[[Hydrofluoric acid]] is commonly used as an aqueous etchant for silicon dioxide ({{chem|SiO|2}}, also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE ([[buffered oxide etch]]ant) or BHF (Buffered HF). They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE.

Hydrofluoric acid is considered one of the more dangerous acids in the [[cleanroom]]. It penetrates the skin upon contact and it diffuses straight to the bone. Therefore the damage is not felt until it is too late.

=====Electrochemical etching=====
Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active p-n [[diode]] junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.

====Dry etching====

=====Vapor etching=====

======Xenon difluoride======
[[Xenon difluoride]] ({{chem|XeF|2}}) is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles.<ref>{{cite journal|doi=10.1117/12.220933|title=Gas-phase silicon micromachining with xenon difluoride|year=1995|last1=Chang|first1=Floy I.|pages=117|volume=2641}}</ref><ref>{{Cite thesis |type=M.S. |title=Xenon difluoride etching of silicon for MEMS |last=Chang |first=Floy I-Jung |year=1995 |publisher=University of California |location=Los Angeles |oclc=34531873 }}</ref> Primarily used for releasing metal and dielectric structures by undercutting silicon, {{chem|XeF|2}} has the advantage of a [[stiction]]-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, {{chem|SiO|2}}, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available,<ref>{{cite journal|doi=10.1109/MEMS.2004.1290690|title=Modeling and characterization of sacrificial polysilicon etching using vapor-phase xenon difluoride|year=2004|last1=Brazzle|first1=J.D.|last2=Dokmeci|first2=M.R.|last3=Mastrangelo|first3=C.H.|pages=737}}</ref> and university laboratories and various commercial tools offer solutions using this approach.

======Hydrogen fluoride (HF)======
{{Empty section|date=March 2014}}

=====Plasma etching=====
Modern VLSI processes avoid wet etching, and use plasma etching instead. Plasma etchers can operate in several modes by adjusting the parameters of the plasma. Ordinary plasma etching operates between 0.1 and 5 Torr. (This unit of pressure, commonly used in vacuum engineering, equals approximately 133.3 pascals.) The plasma produces energetic free radicals, neutrally charged, that react at the surface of the wafer. Since neutral particles attack the wafer from all angles, this process is isotropic.

Plasma etching can be isotropic, i.e., exhibiting a lateral undercut rate on a patterned surface approximately the same as its downward etch rate, or can be anisotropic, i.e., exhibiting a smaller lateral undercut rate than its downward etch rate. Such anisotropy is maximized in deep reactive ion etching. The use of the term anisotropy for plasma etching should not be conflated with the use of the same term when referring to orientation-dependent etching.

The source gas for the plasma usually contains small molecules rich in chlorine or fluorine. For instance, carbon tetrachloride (CCl4) etches silicon and aluminium, and trifluoromethane etches silicon dioxide and silicon nitride. A plasma containing oxygen is used to oxidize ("ash") photoresist and facilitate its removal.

Ion milling, or sputter etching, uses lower pressures, often as low as 10−4 Torr (10 mPa). It bombards the wafer with energetic ions of noble gases, often Ar+, which knock atoms from the substrate by transferring momentum. Because the etching is performed by ions, which approach the wafer approximately from one direction, this process is highly anisotropic. On the other hand, it tends to display poor selectivity. Reactive-ion etching (RIE) operates under conditions intermediate between sputter and plasma etching (between 10−3 and 10−1 Torr). Deep reactive-ion etching (DRIE) modifies the RIE technique to produce deep, narrow features.
======Sputtering======

======Reactive ion etching (RIE)======
{{main|Reactive ion etching}}

In reactive ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. RIE can be deep (Deep RIE or deep reactive ion etching (DRIE)).
{{main|Deep reactive ion etching}}

Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometres are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process",<ref>{{cite journal|doi=10.1109/SENSOR.2005.1497272|title=Milestones in deep reactive ion etching|year=2005|last1=Laermer|first1=F.|last2=Urban|first2=A.|pages=1118|volume=2}}</ref> named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently there are two variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in the Plasma-Therm tool) while the second variation only consists of two steps (ASE used in the STS tool).<br/>
In the 1st Variation, the etch cycle is as follows:<br/>
(i) {{chem|SF|6}} isotropic etch;<br/>
(ii) {{chem|C|4|F|8}} passivation;<br/>
(iii) {{chem|SF|6}} anisoptropic etch for floor cleaning.<br/>
In the 2nd variation, steps (i) and (iii) are combined.

Both variations operate similarly.
The {{chem|C|4|F|8}} creates a polymer on the surface of the substrate, and the second gas composition ({{chem|SF|6}} and {{chem|O|2}}) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3–6 times higher than wet etching.

===Die preparation===
After preparing a large number of MEMS devices on a [[wafer (electronics)|silicon wafer]], individual [[die (integrated circuit)|dies]] have to be separated, which is called [[die preparation]] in semiconductor technology. For some applications, the separation is preceded by [[wafer backgrinding]] in order to reduce the wafer thickness. [[Wafer dicing]] may then be performed either by sawing using a cooling liquid or a dry laser process called [[wafer dicing#Stealth dicing|stealth dicing]].

==MEMS manufacturing technologies==

===Bulk micromachining===
{{main|Bulk micromachining}}

Bulk micromachining is the oldest paradigm of silicon based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures.<ref name="bulk"/> Silicon is machined using various [[#Etching processes|etching processes]]. [[Anodic bonding]] of glass plates or additional silicon wafers is used for adding features in the third dimension and for hermetic encapsulation. Bulk micromachining has been essential in enabling high performance [[pressure sensor]]s and [[accelerometer]]s that changed the sensor industry in the 1980s and 90's.

===Surface micromachining===
{{main|Surface micromachining}}

Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself.<ref name="surface">{{cite doi|10.1109/5.704260}}</ref> Surface micromachining was created in the late 1980s to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and [[integrated circuit]]s on the same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost [[accelerometer]]s for e.g. automotive air-bag systems and other applications where low performance and/or high g-ranges are sufficient. [[Analog Devices]] have pioneered the industrialization of surface micromachining and have realized the co-integration of MEMS and integrated circuits.

===High aspect ratio (HAR) silicon micromachining===
Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology, [[deep reactive-ion etching]], has made it possible to combine good performance typical of [[bulk micromachining]] with comb structures and in-plane operation typical of [[surface micromachining]]. While it is common in surface micromachining to have structural layer thickness in the range of 2&nbsp;µm, in HAR silicon micromachining the thickness can be from 10 to 100&nbsp;µm. The materials commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining.

==Applications==
[[File:Labonachip20017-300.jpg|thumb|microelectromechanical systems chip, sometimes called "lab on a chip"]]
In one viewpoint MEMS application is categorized by type of use.
*[[Sensor]]
*[[Actuator]]
*Structure

In another view point MEMS applications are categorized by the field of application (commercial applications include):
*[[Inkjet printer]]s, which use [[piezoelectric]]s or thermal bubble ejection to deposit ink on paper.
*[[Accelerometer]]s in modern cars for a large number of purposes including [[airbag]] deployment in collisions.
*Accelerometers in consumer electronics devices such as game controllers (Nintendo [[Wii]]), personal media players / cell phones (Apple [[iPhone]], various Nokia mobile phone models, various HTC PDA models)<ref>Johnson, R. Collin. [http://www.eetimes.com/showArticle.jhtml?articleID=200900669 There's more to MEMS than meets the iPhone], ''[[EE Times]]'', (2007-07-09){{accessdate|2007-07-10}}</ref> and a number of Digital Cameras (various [[Canon Digital IXUS]] models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss.
*[[MEMS gyroscope]]s used in modern cars and other applications to detect [[yaw, pitch, and roll|yaw]]; e.g., to deploy a roll over bar or trigger [[dynamic stability control]]<ref>{{cite book|url=http://books.google.com/books?id=WgFPvZyApd0C&pg=PA111|pages=111 ff|title=MEMS Vibratory Gyroscopes: Structural Approaches to Improve Robustness|author=Cenk Acar, Andrei M. Shkel|year=2008|isbn=0-387-09535-7}}</ref>
*MEMS microphones in portable devices, e.g., mobile phones, head sets and laptops.
*Silicon [[pressure sensor]]s e.g., car [[tire]] pressure [[sensor]]s, and disposable [[blood pressure]] [[sensor]]s
*[[Display device|Display]]s e.g., the [[Digital micromirror device|DMD chip]] in a projector based on [[Digital Light Processing|DLP]] technology, which has a surface with several hundred thousand micromirrors or single micro-scanning-mirrors also called [[microscanner]]s
*[[Optical switch]]ing technology, which is used for switching technology and alignment for [[data communications]]
*[[Bio-MEMS]] applications in medical and health related technologies from Lab-On-Chip to MicroTotalAnalysis ([[biosensor]], [[chemosensor]]), or embedded in medical devices e.g. stents.<ref>[http://ves.sagepub.com/content/46/8/605 Microelectromechanical Systems and Nanotechnology. A Platform for the Next Stent Technological Era ,Louizos-Alexandros Louizos, Panagiotis G. Athanasopoulos, Kevin Varty,VASC ENDOVASCULAR SURG November 2012 vol. 46 no. 8 605-609, doi: 10.1177/1538574412462637]</ref>
*[[Interferometric modulator display]] (IMOD) applications in consumer electronics (primarily displays for mobile devices), used to create interferometric modulation − reflective display technology as found in mirasol displays
*[[electrostatic fluid accelerator|Fluid acceleration]] such as for micro-cooling
*Micro-scale [[Energy harvesting]] including piezoelectric,<ref>{{cite journal|last=Hajati|first=Arman|coauthors=Sang-Gook Kim|title=Ultra-wide bandwidth piezoelectric energy harvesting|journal=Applied Physics Letters|year=2011|volume=99|issue=8|page=083105|doi= 10.1063/1.3629551|url=http://dx.doi.org/10.1063/1.3629551}}</ref> electrostatic and electromagentic micro harvesters.
*Micromachined [[Ultrasound Transducer]] including [[Piezoelectric Micromachined Ultrasonic Transducers]]<ref>{{cite journal|last=Hajati|first=Arman|coauthors=et al.|title=Three-dimensional micro electromechanical system piezoelectric ultrasound transducer|journal=Applied Physics Letters|year=2012|volume=101|issue=25|page=253101|doi=10.1063/1.4772469|url=http://dx.doi.org/10.1063/1.4772469}}</ref><ref>{{cite journal|last=Hajati|first=Arman|coauthors=et al.|title=Monolithic ultrasonic integrated circuits based on micromachined semi-ellipsoidal piezoelectric domes|journal=Applied Physics Letters|year=2013|volume=103|issue=20|page=202906|doi=10.1063/1.4831988|url=http://dx.doi.org/10.1063/1.4831988}}</ref> and [[Capacitive Micromachined Ultrasonic Transducers]].

Companies with strong MEMS programs come in many sizes. The larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. The successful small firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. In addition, both large and small companies work in [[R&D]] to explore MEMS technology.

==Industry structure==
The global market for micro-electromechanical systems, which includes products such as automobile airbag systems, display systems and inkjet cartridges totaled $40 billion in 2006 according to Global MEMS/Microsystems Markets and Opportunities, a research report from [[SEMI]] and Yole Developpement and is forecasted to reach $72 billion by 2011.<ref>[http://www.azonano.com/news.asp?newsID=4479 Worldwide MEMS Systems Market Forecasted to Reach $72 Billion by 2011]</ref>

MEMS devices are defined as die-level components of first-level packaging, and include pressure sensors, accelerometers, gyroscopes, microphones, digital mirror displays, [[microfluidic]] devices, etc. The materials and equipment used to manufacture MEMS devices topped $1 billion worldwide in 2006. Materials demand is driven by substrates, making up over 70 percent of the market, packaging coatings and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues to be dominated by used semiconductor equipment, there is a migration to 200&nbsp;mm lines and select new tools, including etch and bonding for certain MEMS applications.

==See also==
*[[Brain–computer interface]]
*[[Cantilever#In microelectromechanical systems|Cantilever]] one of the most common forms of MEMS.
*[[Electrostatic motor]]s used where coils are difficult to fabricate
*[[Kelvin probe force microscope]]
*[[MEMS sensor generations]]
*[[MEMS thermal actuator]] MEMS actuation created by thermal expansion
*[[Micro-opto-electromechanical systems]], MEMS including optical elements
*[[Micropower]] Hydrogen generators, gas turbines, and electrical generators made of etched silicon
*[[Millipede memory]], a MEMS technology for non-volatile data storage of more than a terabit per square inch
*[[Nanoelectromechanical systems]] are similar to MEMS but smaller
*[[Scratch Drive Actuator]] MEMS actuation using repeatedly applied voltage differences

==References==
{{reflist|2}}

==External links==

{{Commons category|MEMS}}
*[http://nanohub.org/resources/180 Online course on ''An Introduction to BioMEMS and Bionanotechnology'']

{{Microtechnology}}

{{DEFAULTSORT:Microelectromechanical Systems}}
[[Category:Transducers]]
[[Category:Mechanical engineering]]
[[Category:Electrical engineering]]
[[Category:Microtechnology]]
[[Category:Microelectronic and microelectromechanical systems]]

2014년 6월 23일 (월) 22:50 판

Proposal submitted to DARPA in 1986 first introducing the term "microelectromechanical systems"

미세전자기계시스템 (Microelectromechanical systems) (기술 방식은 micro-electro-mechanical, MicroElectroMechanical 또는 microelectronic and microelectromechanical systems 그리고 micromechatronics와 연관된 것 등이 있다)은 매우 작은 기계를 다루는 기술이다;나노전자기계시스템 (NEMS,nanoelectromechanical systems)과 나노기술(nanotechnology)에 나노크기로 병합한 것이다. 또한 일본에서는 micromachines, 유럽에서는 micro systems technologyMST라고 일컫는다.

MEMS는 분자 나노 기술(molecular nanotechnology) 또는 분자 전자 공학(molecular electronics)의 비전에서부터 분리되었다. MEMS는 크기가 1에서 100마이크로미터인 요소들로 구성되어있고(i.e. 0.001 to 0.1 mm), 일반적인 사이즈는 20마이크로미터부터 1밀리미터까지이다(i.e. 0.02 to 1.0 mm). 보통 데이터를 처리하는 중심 단위(마이크로프로세서)와 마이크로센서[1]와 같은 주변과 상호작용을 하는 몇 개의 부분으로 구성되어있어, 고전물리학적 기준에서는 유용하지는 않다. 부피에 비해 상대적으로 큰 표면적 때문에, 정전기와 웨팅(wetting)과 같은 표면 효가가 관성이나 열적질량(thermal mass)같은 부피로 인한 효과를 덮어버린다.

극소크기의 기계들의 잠재가능성은 이것이 기술로 현실화되기 전에 그 가치를 인정받았다. 예를 들면, 리처드 파인만의 유명한 1959년의 강의 바닥에는 공간이 많다(There's Plenty of Room at the Bottom)를 보면 알 수 있다. MEMS는 보통 전자장치를 만드는데 사용되는 반도체 제조기술에서의 수정을 통해 제작되면서 실용화에 들어갔다. 이는 몰딩(molding)과 플래팅(plating)을 비롯해 wet etching (KOH, TMAH) and dry etching (RIE and DRIE), electro discharge machining (EDM) 등의 소형 기계를 제작가능하게하는 기술들을 포함하고 있었다.초기 MEMS 기기의 예시는 An early example of a MEMS device is the resonistor – an electromechanical monolithic resonator.[2][3]

Materials for MEMS manufacturing

The fabrication of MEMS evolved from the process technology in semiconductor device fabrication, i.e. the basic techniques are deposition of material layers, patterning by photolithography and etching to produce the required shapes.[4]

Silicon

Silicon is the material used to create most integrated circuits used in consumer electronics in the modern industry. The economies of scale, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no hysteresis and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little fatigue and can have service lifetimes in the range of billions to trillions of cycles without breaking.

Polymers

Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to be produced. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection molding, embossing or stereolithography and are especially well suited to microfluidic applications such as disposable blood testing cartridges.

Metals

Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include gold, nickel, aluminium, copper, chromium, titanium, tungsten, platinum, and silver.

Ceramics

The nitrides of silicon, aluminium and titanium as well as silicon carbide and other ceramics are increasingly applied in MEMS fabrication due to advantageous combinations of material properties. AlN crystallizes in the wurtzite structure and thus shows pyroelectric and piezoelectric properties enabling sensors, for instance, with sensitivity to normal and shear forces.[5] TiN, on the other hand, exhibits a high electrical conductivity and large elastic modulus allowing to realize electrostatic MEMS actuation schemes with ultrathin membranes.[6] Moreover, the high resistance of TiN against biocorrosion qualifies the material for applications in biogenic environments and in biosensors.

MEMS basic processes

Deposition processes

One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere between a few nanometres to about 100 micrometres. There are two types of deposition processes, as follows.

Physical deposition

Physical vapor deposition ("PVD") consists of a process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of sputtering, in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate, and Evaporation (deposition), in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in a vacuum system.

Chemical deposition

Chemical deposition techniques include chemical vapor deposition ("CVD"), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example, LPCVD (Low Pressure chemical vapor deposition) and PECVD (Plasma Enhanced chemical vapor deposition).

Oxide films can also be grown by the technique of thermal oxidation, in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of silicon dioxide.

Patterning

Patterning in MEMS is the transfer of a pattern into a material.

Lithography

Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs.

This exposed region can then be removed or treated providing a mask for the underlying substrate. Photolithography is typically used with metal or other thin film deposition, wet and dry etching.

Photolithography

Photolithography is the process of transferring geometric shapes on a mask to the surface of a silicon wafer. The steps involved in the photolithographic process are wafer cleaning; barrier layer formation; photoresist application; soft baking; mask alignment; exposure and development; and hard-baking.

Wafer Cleaning, Barrier Formation and Photoresist Application

In the first step, the wafers are chemically cleaned to remove particulate matter on the surface as well as any traces of organic, ionic, and metallic impurities. After cleaning, silicon dioxide, which serves as a barrier layer, is deposited on the surface of the wafer. After the formation of the SiO2 layer, photoresist is applied to the surface of the wafer. High-speed centrifugal whirling of silicon wafers is the standard method for applying photoresist coatings in IC manufacturing. This technique, known as "Spin Coating," produces a thin uniform layer of photoresist on the wafer surface. Another method for applying photoresist coatings onto MEMs wafers with high aspect ratio trenches uses an ultrasonic nozzle to spray micron-sized atomized droplets of photoresist directly onto the wafer surface.[7]

Positive and Negative Photoresist:-

There are two types of photoresist: positive and negative. For positive resists, the resist is exposed with UV light wherever the underlying material is to be removed. In these resists, exposure to the UV light changes the chemical structure of the resist so that it becomes more soluble in the developer. The exposed resist is then washed away by the developer solution, leaving windows of the bare underlying material. In other words, "whatever shows, goes." The mask, therefore, contains an exact copy of the pattern which is to remain on the wafer.

Negative resists behave in just the opposite manner. Exposure to the UV light causes the negative resist to become polymerized, and more difficult to dissolve. Therefore, the negative resist remains on the surface wherever it is exposed, and the developer solution removes only the unexposed portions. Masks used for negative photoresists, therefore, contain the inverse (or photographic "negative") of the pattern to be transferred. The figure below shows the pattern differences generated from the use of positive and negative resist.

Electron beam lithography

Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist),[8] ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing integrated circuits, and is also used for creating nanotechnology architectures.

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography has found wide usage in photomask-making used in photolithography, low-volume production of semiconductor components, and research & development.

The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.

Ion beam lithography

It is known that focused-ion-beam lithography has the capability of writing extremely fine lines (less than 50 nm line and space has been achieved) without proximity effect. However, because the writing field in ion-beam lithography is quite small, large area patterns must be created by stitching together the small fields.

Ion track technology

Ion track technology is a deep cutting tool with a resolution limit around 8 nm applicable to radiation resistant minerals, glasses and polymers. It is capable to generate holes in thin films without any development process. Structural depth can be defined either by ion range or by material thickness. Aspect ratios up to several 104 can be reached. The technique can shape and texture materials at a defined inclination angle. Random pattern, single-ion track structures and aimed pattern consisting of individual single tracks can be generated.

X-ray lithography

X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

Diamond patterning

Etching processes

There are two basic categories of etching processes: wet etching and dry etching. In the former, the material is dissolved when immersed in a chemical solution. In the latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant.[9][10] for a somewhat dated overview of MEMS etching technologies.

Wet etching

Wet chemical etching consists in selective removal of material by dipping a substrate into a solution that dissolves it. The chemical nature of this etching process provides a good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully.

Isotropic etching

Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate.

Anisotropic etching

Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes (crystallographic orientations). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7° walls, instead of a hole with curved sidewalls as with isotropic etching.

HF etching

Hydrofluoric acid is commonly used as an aqueous etchant for silicon dioxide (SiO
2
, also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE (buffered oxide etchant) or BHF (Buffered HF). They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE.

Hydrofluoric acid is considered one of the more dangerous acids in the cleanroom. It penetrates the skin upon contact and it diffuses straight to the bone. Therefore the damage is not felt until it is too late.

Electrochemical etching

Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active p-n diode junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.

Dry etching

Vapor etching
Xenon difluoride

Xenon difluoride (XeF
2
) is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles.[11][12] Primarily used for releasing metal and dielectric structures by undercutting silicon, XeF
2
has the advantage of a stiction-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, SiO
2
, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available,[13] and university laboratories and various commercial tools offer solutions using this approach.

Hydrogen fluoride (HF)
Plasma etching

Modern VLSI processes avoid wet etching, and use plasma etching instead. Plasma etchers can operate in several modes by adjusting the parameters of the plasma. Ordinary plasma etching operates between 0.1 and 5 Torr. (This unit of pressure, commonly used in vacuum engineering, equals approximately 133.3 pascals.) The plasma produces energetic free radicals, neutrally charged, that react at the surface of the wafer. Since neutral particles attack the wafer from all angles, this process is isotropic.

Plasma etching can be isotropic, i.e., exhibiting a lateral undercut rate on a patterned surface approximately the same as its downward etch rate, or can be anisotropic, i.e., exhibiting a smaller lateral undercut rate than its downward etch rate. Such anisotropy is maximized in deep reactive ion etching. The use of the term anisotropy for plasma etching should not be conflated with the use of the same term when referring to orientation-dependent etching.

The source gas for the plasma usually contains small molecules rich in chlorine or fluorine. For instance, carbon tetrachloride (CCl4) etches silicon and aluminium, and trifluoromethane etches silicon dioxide and silicon nitride. A plasma containing oxygen is used to oxidize ("ash") photoresist and facilitate its removal.

Ion milling, or sputter etching, uses lower pressures, often as low as 10−4 Torr (10 mPa). It bombards the wafer with energetic ions of noble gases, often Ar+, which knock atoms from the substrate by transferring momentum. Because the etching is performed by ions, which approach the wafer approximately from one direction, this process is highly anisotropic. On the other hand, it tends to display poor selectivity. Reactive-ion etching (RIE) operates under conditions intermediate between sputter and plasma etching (between 10−3 and 10−1 Torr). Deep reactive-ion etching (DRIE) modifies the RIE technique to produce deep, narrow features.

Sputtering
Reactive ion etching (RIE)

In reactive ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. RIE can be deep (Deep RIE or deep reactive ion etching (DRIE)).

Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometres are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process",[14] named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently there are two variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in the Plasma-Therm tool) while the second variation only consists of two steps (ASE used in the STS tool).
In the 1st Variation, the etch cycle is as follows:
(i) SF
6
isotropic etch;
(ii) C
4
F
8
passivation;
(iii) SF
6
anisoptropic etch for floor cleaning.
In the 2nd variation, steps (i) and (iii) are combined.

Both variations operate similarly. The C
4
F
8
creates a polymer on the surface of the substrate, and the second gas composition (SF
6
and O
2
) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3–6 times higher than wet etching.

Die preparation

After preparing a large number of MEMS devices on a silicon wafer, individual dies have to be separated, which is called die preparation in semiconductor technology. For some applications, the separation is preceded by wafer backgrinding in order to reduce the wafer thickness. Wafer dicing may then be performed either by sawing using a cooling liquid or a dry laser process called stealth dicing.

MEMS manufacturing technologies

Bulk micromachining

Bulk micromachining is the oldest paradigm of silicon based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures.[10] Silicon is machined using various etching processes. Anodic bonding of glass plates or additional silicon wafers is used for adding features in the third dimension and for hermetic encapsulation. Bulk micromachining has been essential in enabling high performance pressure sensors and accelerometers that changed the sensor industry in the 1980s and 90's.

Surface micromachining

Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself.[15] Surface micromachining was created in the late 1980s to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and integrated circuits on the same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost accelerometers for e.g. automotive air-bag systems and other applications where low performance and/or high g-ranges are sufficient. Analog Devices have pioneered the industrialization of surface micromachining and have realized the co-integration of MEMS and integrated circuits.

High aspect ratio (HAR) silicon micromachining

Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology, deep reactive-ion etching, has made it possible to combine good performance typical of bulk micromachining with comb structures and in-plane operation typical of surface micromachining. While it is common in surface micromachining to have structural layer thickness in the range of 2 µm, in HAR silicon micromachining the thickness can be from 10 to 100 µm. The materials commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining.

Applications

microelectromechanical systems chip, sometimes called "lab on a chip"

In one viewpoint MEMS application is categorized by type of use.

In another view point MEMS applications are categorized by the field of application (commercial applications include):

Companies with strong MEMS programs come in many sizes. The larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. The successful small firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. In addition, both large and small companies work in R&D to explore MEMS technology.

Industry structure

The global market for micro-electromechanical systems, which includes products such as automobile airbag systems, display systems and inkjet cartridges totaled $40 billion in 2006 according to Global MEMS/Microsystems Markets and Opportunities, a research report from SEMI and Yole Developpement and is forecasted to reach $72 billion by 2011.[22]

MEMS devices are defined as die-level components of first-level packaging, and include pressure sensors, accelerometers, gyroscopes, microphones, digital mirror displays, microfluidic devices, etc. The materials and equipment used to manufacture MEMS devices topped $1 billion worldwide in 2006. Materials demand is driven by substrates, making up over 70 percent of the market, packaging coatings and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues to be dominated by used semiconductor equipment, there is a migration to 200 mm lines and select new tools, including etch and bonding for certain MEMS applications.

See also

References

  1. Waldner, Jean-Baptiste (2008). 《Nanocomputers and Swarm Intelligence》. London: ISTE John Wiley & Sons. 205쪽. ISBN 1-84821-009-4. 
  2. Electromechanical monolithic resonator, US patent 3614677, Filed April 29, 1966; Issued October 1971
  3. Wilfinger, R.J.; Bardell, P.H.; Chhabra, D.S. (1968). “The resonistor a frequency selective device utilizing the mechanical resonance of a substrate” (PDF). 《IBM J.》 12: 113–8. 
  4. R. Ghodssi, P. Lin (2011). 《MEMS Materials and Processes Handbook》. Berlin: Springer. ISBN 978-0-387-47316-1. 
  5. T. Polster, M. Hoffmann (2009). “Aluminium nitride based 3D, piezoelectric, tactile sensors”. 《Proc. Chem.》 1: 144–7. doi:10.1016/j.proche.2009.07.036. 
  6. M. Birkholz, K.-E. Ehwald, P. Kulse, J. Drews, M. Fröhlich, U. Haak, M. Kaynak, E. Matthus, K. Schulz, D. Wolansky (2011). “Ultrathin TiN Membranes as a Technology Platform for CMOS-Integrated MEMS and BioMEMS Devices”. 《Adv. Func. Mat.》 21: 1652–6. doi:10.1002/adfm.201002062. 
  7. “Journal of Micromechanics and Microengineering” 15. 2005: 691–697. 
  8. McCord, M. A.; M. J. Rooks (2000). 〈2〉. 《SPIE Handbook of Microlithography, Micromachining and Microfabrication》. 
  9. Williams, K.R.; Muller, R.S. (1996). “Etch rates for micromachining processing”. 《Journal of Microelectromechanical Systems》 5 (4): 256. doi:10.1109/84.546406. 
  10. Kovacs, G.T.A.; Maluf, N.I.; Petersen, K.E. (1998). “Bulk micromachining of silicon”. 《Proceedings of the IEEE》 86 (8): 1536. doi:10.1109/5.704259. 
  11. Chang, Floy I. (1995). “Gas-phase silicon micromachining with xenon difluoride” 2641: 117. doi:10.1117/12.220933. 
  12. Chang, Floy I-Jung (1995). 《Xenon difluoride etching of silicon for MEMS》 (M.S.). Los Angeles: University of California. OCLC 34531873. 
  13. Brazzle, J.D.; Dokmeci, M.R.; Mastrangelo, C.H. (2004). “Modeling and characterization of sacrificial polysilicon etching using vapor-phase xenon difluoride”: 737. doi:10.1109/MEMS.2004.1290690. 
  14. Laermer, F.; Urban, A. (2005). “Milestones in deep reactive ion etching” 2: 1118. doi:10.1109/SENSOR.2005.1497272. 
  15. doi 10.1109/5.704260
    현재 이 인용은 내용이 불완전합니다. 영어판의 문서에서 복사하여 완성할 수 있습니다.
  16. Johnson, R. Collin. There's more to MEMS than meets the iPhone, EE Times, (2007-07-09)틀:Accessdate
  17. Cenk Acar, Andrei M. Shkel (2008). 《MEMS Vibratory Gyroscopes: Structural Approaches to Improve Robustness》. 111 ff쪽. ISBN 0-387-09535-7. 
  18. Microelectromechanical Systems and Nanotechnology. A Platform for the Next Stent Technological Era ,Louizos-Alexandros Louizos, Panagiotis G. Athanasopoulos, Kevin Varty,VASC ENDOVASCULAR SURG November 2012 vol. 46 no. 8 605-609, doi: 10.1177/1538574412462637
  19. Hajati, Arman; Sang-Gook Kim (2011). “Ultra-wide bandwidth piezoelectric energy harvesting”. 《Applied Physics Letters》 99 (8): 083105. doi:10.1063/1.3629551. 
  20. Hajati, Arman; et al. (2012). “Three-dimensional micro electromechanical system piezoelectric ultrasound transducer”. 《Applied Physics Letters》 101 (25): 253101. doi:10.1063/1.4772469. 
  21. Hajati, Arman; et al. (2013). “Monolithic ultrasonic integrated circuits based on micromachined semi-ellipsoidal piezoelectric domes”. 《Applied Physics Letters》 103 (20): 202906. doi:10.1063/1.4831988. 
  22. Worldwide MEMS Systems Market Forecasted to Reach $72 Billion by 2011

External links

틀:Microtechnology